SyntaxHighlighter Evolved: VHDL Brush

plugin banner

This plugin enables colorization for the VHDL language using the Syntax Highlighter Evolved plugin.

Author:Carlos Ramos (profile at wordpress.org)
WordPress version required:2.8
WordPress version tested:4.3
Plugin version:1.1.1
Added to WordPress repository:25-08-2012
Last updated:21-08-2015
Warning! This plugin has not been updated in over 2 years. It may no longer be maintained or supported and may have compatibility issues when used with more recent versions of WordPress.
Rating, %:0
Rated by:0
Plugin URI:
Total downloads:2 575
Active installs:30+
plugin download
Click to start download

This plugin enables the syntax colorization for the VHDL and Verilog languages by extending the Syntax Highlighter Evolved functionality (and thus, you need to have it installed).

For VHDL examples you can check some of my posts at Estado Finito. Here is another example, using the Xilinx UCF and VHDL coloring: another awesome example.

For Verilog examples, you can pay a visit to Beyond All Repair or Idle Logic.

The people behind it

  • The VHDL brush is an adaptation done by me of the GeSHi syntax by Alexander 'E-Razor' Krause. It can be used as language="vhdl" or with the shortcode [vhdl] ... all code ... [/vhdl].
  • The Verilog brush was made by Harry Rose at Beyond All Repair. I am just merely putting it into this plugin.
  • The Xilinx UCF support is my work, although it works for the basic stuff. And basic means colorization for NET, LOC, and comments.

Hope it can be of use to any digital designer out there. Need another language or more features? Don't hesitate to ask!


Screenshots
FAQ
ChangeLog